首页 > 大学本科> 工学
题目内容 (请给出正确答案)
[主观题]

用GAL16V8设计一个3-8线译码器,该译码器带有一个低电平有效和一个高电平有效的使能端。试写出相应的VHDL语言

用GAL16V8设计一个3-8线译码器,该译码器带有一个低电平有效和一个高电平有效的使能端。试写出相应的VHDL语言程序。

查看答案
答案
收藏
如果结果不匹配,请 联系老师 获取答案
您可能会需要:
您的账号:,可能还需要:
您的账号:
发送账号密码至手机
发送
安装优题宝APP,拍照搜题省时又省心!
更多“用GAL16V8设计一个3-8线译码器,该译码器带有一个低电…”相关的问题
第1题
使用3-8线译码器74LS138和必要的门电路实现逻辑函数使用3-8线译码器74LS138和必要的门电路实现逻辑函数,应该()。,应该()。

A.用或门,使用3-8线译码器74LS138和必要的门电路实现逻辑函数,应该()。

B.用或门,使用3-8线译码器74LS138和必要的门电路实现逻辑函数,应该()。

C.用与非门,使用3-8线译码器74LS138和必要的门电路实现逻辑函数,应该()。

D.用与非门,使用3-8线译码器74LS138和必要的门电路实现逻辑函数,应该()。

点击查看答案
第2题
用3线—8线译码器设计一个既能做一位二进制数的令加运算,又能做一位二进制数的伞减运算的电路。 要求:(1)根

用3线—8线译码器设计一个既能做一位二进制数的令加运算,又能做一位二进制数的伞减运算的电路。

要求:(1)根据题意要求,写真值表。

(2)写出电路输出函数的最简与或表达式。

(3)画出用3线—8线译码器74LS138芯片实现的电路。

点击查看答案
第3题
分别用 CASE 语句和IF设计 3-8 译码器。

点击查看答案
第4题
设计一个组合逻辑电路,当M=1时,两个2位二进制数A=A1A0和B=B180实现全加;当M=0时,两个二进制数实现全减。要求

设计一个组合逻辑电路,当M=1时,两个2位二进制数A=A1A0和B=B180实现全加;当M=0时,两个二进制数实现全减。要求用两片3线-8线译码器74HC138实现,必要时可用门电路。

点击查看答案
第5题
3-8线译码器74LS138,18个引脚中 4、5、6脚的功能是()。

A.译码地址输入端

B.复合片选端

C.输出端

D.电源端

点击查看答案
第6题
用GAu6V8器件实现一个3线一8线译码器。

点击查看答案
第7题
以下代码实现了一个3-8译码器。()module test(clk,reset,en,in,out);iput clk,reset,en,in;output[3:0] out;reg[3:0] out;always @(posedge clk)begin if(reset) out<=4'h0;else if(en) out<={out,in};endendmodule此题为判断题(对,错)。
点击查看答案
第8题
设计一个4-10线译码器,其输入为8421BCD码。设输入、输出都是高电平有效且无使能输入,要求逻辑最简。

点击查看答案
第9题
用3线-8线译码器741 38分别设计出完成下述BCD码制转换的电路: ①8421码→余3码; ②格雷码
→8421码; ③5421码→842l码; ④余3码→542l码。

点击查看答案
第10题
用MSI译码器和逻辑门,设计一个一次加两位二进制数的加法器。

点击查看答案
退出 登录/注册
发送账号至手机
密码将被重置
获取验证码
发送
温馨提示
该问题答案仅针对搜题卡用户开放,请点击购买搜题卡。
马上购买搜题卡
我已购买搜题卡, 登录账号 继续查看答案
重置密码
确认修改